2008年10月21日星期二

modelsim的一些常用命令

vlib work 建库
vmap work work 映射
vlog div.v tdiv.v 编译verilog写的代码
vcom div.vhl tdiv.vhl 编译vhdl 写的代码
vsim work.module 仿真相应模块
view wave/dataflow 显示波形窗口/数据流窗口
restart -f
run -all
quit -sim 退出仿真
pwd
cd
add wave /tdiv/* 把tdiv中的所有信号都加到wave波形图中

当然在modelsim中也可以用批处理文件,比如有一批处理文件file.do,则可用如下命令来调用它
do file.do

关于do文件的编写,有一个快速学习的好方法:
你执行的每一步鼠标点击or选择操作,在modelsim的命令行都有相应的代码输
出,你可以仿照写出正确的do文件。

没有评论: